share_log

阿斯麦新型EUV遭大客户婉拒? 台积电(TSM.US)表示这款光刻机太贵

Was Asmack's new EUV graciously rejected by major customers? TSM.US says this lithography machine is too expensive

Zhitong Finance ·  May 15 01:58

TSMC recently made a rare statement saying that the price of Asmack's latest advanced chip manufacturing lithography machine is prohibitive.

The Zhitong Finance App learned that SMC (TSM.US), one of the largest customers of Dutch-headquartered lithography machine giant ASML.US (ASML.US), recently made a rare statement saying that the price of Asmack's latest advanced chip-making lithography machine is prohibitive. Kevin Zhang, senior vice president of TSMC, said at a technical seminar in Amsterdam on Tuesday: “This EUV lithography machine is very expensive.” He was referring to Asmack's latest “high-NA” grade extreme ultraviolet (EUV) lithography machine. I love the performance of the High-NA EUV, but I really don't like its list price.”

According to information, the latest EUV lithography machine launched by Asmack can use only 8 nanometer thick lines to imprint semiconductors, which is 1.7 times smaller than the previous generation EUV machine. However, this chip-making machine, which can be called the “pinnacle of human technology,” costs 350 million euros (about 380 million US dollars) each, and weighs as much as two Airbus A320s.

Asmack from the Netherlands is the world's largest manufacturer of lithography systems, and the lithography equipment produced by Asmack can be described as playing the most important role in the chip manufacturing process. Asmack is the sole supplier of the most advanced extreme ultraviolet (EUV) lithography machines used by TSMC, Samsung, and Intel to manufacture high-end process chips.

If chips are the “pearl in the palm of the hand” of modern human industry, then a lithography machine is a necessary tool to produce this “pearl”. More importantly, Asmack is the world's only supplier of EUV lithography equipment required for chips with the most advanced manufacturing process, such as 3nm, 5nm, and 7nm chips. Therefore, demand from the largest customers such as TSMC, Intel, and Samsung for their products can be described as a weather vane for the health of the chip industry.

To achieve a 2nm manufacturing process and below, Intel has spent huge sums of money to buy Asmack's new EUV

According to information, the established US chip manufacturer Intel (INTC.US), which is also one of Asmack's largest customers, has spent huge sums of money to buy Asmack's latest high-NA EUV lithography machine and shipped Asmack's first new machine to an Intel factory in Oregon at the end of December 2023. However, it is currently unclear when the two major customers, TSMC and Samsung, will begin purchasing these devices.

The core components of this most advanced chip manufacturing system, known as the High-NA extreme ultraviolet (EUV) lithography machine, have been shipped to Intel's D1X chip factory in Oregon, which means that Intel's ambition to fully shift to the field of chip foundry has been supported by the most advanced lithography technology.

The core purpose of Intel's huge investment in purchasing this device is to strive to achieve the most advanced chip process routes of 2nm and below as soon as possible — that is, the most advanced chip process technology routes of 18A, 14A, and 10A planned by Intel. Chip manufacturing categories such as “18A” refer not only to the 1.8nm level chip planned by Intel, but also to the 3D chiplet advanced packaging process roadmap planned by Intel.

For the 2nm and below node technology being developed by Intel, the Asmack High-NA EUV lithography machine can be described as very important. Compared to the standard EUV lithography machine currently produced by Asmack, the main difference is that it uses a larger numerical aperture. High-NA EUV technology uses a 0.55 NA lens, which can achieve a resolution of 8 nm, while the standard EUV technology uses a 0.33 NA lens. Therefore, this new NA technology can print smaller characteristic sizes on the chip, which is essential for the development of process technology for 2nm and below chips.

Rising costs and technical complexity are making the manufacturing process of the most advanced sub-3nm chips more difficult. Intel is now facing a special challenge, as it is trying to regain its once unshakable advantage in chip manufacturing technology, with the support of high subsidies from the US government. Therefore, Intel's ambition in the chip field is mainly to rely on its chip foundry business division to start a new path of business expansion for the company.

Intel CEO Gail Singer said not long ago that the company's business transformation is progressing smoothly and will achieve a more advanced 18A process node “one step ahead” than competitors in the chip manufacturing field, while the 18A advanced manufacturing process will bring Intel back on par with competitors in terms of cost. By 2030, Intel expects its chip foundry to become the second-largest foundry in the world, and its scale may be only slightly lower than that of TSMC, the world's leading chip foundry.

TSMC, one of Asmack's biggest customers, refuses to follow Intel: the old EUV can also make the most advanced chips

Kevin Zhang, senior vice president of TSMC, said on Tuesday that TSMC's so-called A16 chip node technology (generally regarded by the industry as a 1.6nm chip process) is expected to be launched by the end of 2026, and he said that there is no need to use Asmack's high-NA EUV; he can continue to rely on some old TSMC EUV lithography devices. “At this point, I think our existing EUV capabilities should be able to support the new process,” Kevin Zhang said.

Regarding TSMC's views on Asmack's High-NA EUV, Zhang said, “I like the performance of the High-NA EUV, but using the new Asmack technology will depend on what level it makes the most economic sense and the technical balance we can achieve.” However, he declined to comment on when TSMC might start ordering the newly launched high-NA EUV from Asmack.

” The cost of operating a large chip manufacturing plant, including construction, tools, electricity, raw materials, etc., has been rising. This is a common challenge facing the entire industry.” Zhang displayed.

With decades of core manufacturing technology accumulation in the field of chip manufacturing and a long time at the cutting edge of chip manufacturing technology improvement and innovation (TSMC pioneered the FinFET era and led the 2nm GAA era), TSMC has long dominated the vast majority of global chip OEM orders with advanced processes and high yield rates, especially those with advanced manufacturing processes of 5nm and below.

High-performance AI chips for AI training/inference are currently most in demand, such as Nvidia A100/H100/B200/GB200 and the MI300 series AI accelerators launched by AMD, which are all used on the server side of major data centers around the world. TSMC, on the other hand, can be described as stuck in the neck of Nvidia and AMD by itself. Both Nvidia and AMD are concentrating on TSMC's 5nm process. Newly launched AI chips are expected to use a 3nm hybrid 4nm process based on TSMC's Chiplet advanced packaging. The 2nm process and below have already been incorporated into TSMC's technical planning route.

Currently, with its industry-leading 2.5D/3D advanced packaging, TSMC has taken almost all orders for high-end chip packaging with a manufacturing process of 5nm and below, and the advanced packaging production capacity is far from meeting demand. The long-term shortage of Nvidia H100 is limited by TSMC's 2.5D grade CoOS package production capacity.

Disclaimer: This content is for informational and educational purposes only and does not constitute a recommendation or endorsement of any specific investment or investment strategy. Read more
    Write a comment