share_log

平安证券:行业技术升级加速应用渗透 直写光刻市场如日方升

Ping An Securities: Technology upgrades in the industry accelerate application penetration and direct write that the lithography market is rising

Zhitong Finance ·  Feb 29 02:53

I am optimistic that the penetration rate of direct writing lithography technology in the middle and high-end PCB field will continue to increase, superimposing the trend of domestic substitution by domestic manufacturers.

The Zhitong Finance App learned that Ping An Securities released a research report stating that direct writing lithography covers many fields of lithography. Currently, it has rapidly penetrated the PCB field, especially in the middle and high-end PCB field, where it has basically replaced traditional exposure machines. In the field of pan-semiconductors, direct writing lithography is currently mainly used for mask plate making. In other fields, it is limited by factors such as efficiency and accuracy. It is mainly used in high-end, small-batch, and diversified application scenarios. However, it has good application prospects in the fields of carrier boards, advanced packaging, panel displays, etc., and has made some progress. I am optimistic that the penetration rate of direct writing lithography technology in the middle and high-end PCB field will continue to increase, superimposing the trend of domestic substitution by domestic manufacturers. At the same time, I am optimistic about the deepening and industrialization potential of direct writing lithography technology in various application fields of pan-semiconductors in the medium to long term.

Recommended attention: Chipboard Micropack (688630.SH), Dazu CNC (301200.SZ), Tianzhun Technology (688003.SH), etc.

Ping An Securities's views are as follows:

Direct writing lithography covers many fields of lithography, and can be applied to the exposure requirements of various application scenarios from PCB boards to wafers and glass substrates:

Lithography technology is a micro-nano manufacturing technology that transfers a designed micrographic structure to the surface of substrates such as wafers, glass substrates, and copper-clad plates covered with photosensitive materials. It can be used to process and manufacture chips, display panels, mask plates, PCBs, etc. Direct writing lithography technology refers to computer-controlled high-precision light beam focusing and projection onto the surface of a substrate coated with a photosensitive material. The method of exposure imaging is basically similar to traditional projection lithography. The biggest difference is that there is no mask, and a digital DMD is used instead of a traditional mask. Currently, the most important application field of direct writing lithography is PCB, and pan-semiconductor fields other than mask plate making are still in the technology penetration stage.

PCB direct imaging equipment has been successfully used in various PCB segments, such as multilayer boards, HDI boards, flexible boards, IC carrier boards, etc., covering various PCB manufacturing processes. In the field of pan-semiconductors, direct-write lithography technology is limited by factors such as production efficiency and lithography accuracy, and is currently unable to meet the needs of large-scale manufacturing in the pan-semiconductor industry. Judging from the industrial application and sales situation, in the field of PCB direct imaging equipment, the global market share is currently mainly occupied by foreign companies such as Israel's Orbotech, Japan's ORC, ADTEC, and SCREEN. Only domestic manufacturers such as Dazu CNC, Chipboard Microelectronics, and Suzhou Yuanzhuo have industrialized PCB direct imaging equipment and formed market sales. In the field of pan-semiconductor direct writing lithography, the main global market share is occupied by manufacturers such as Mycronic in Sweden and Heidelberg in Germany. Only domestic manufacturers such as Chipboard Microelectronics and Jiangsu Movie Speed have industrialized and marketed their products.

Mainstream technical solutions for PCB exposure processes benefit from PCB circuit refinement requirements:

In the field of large-scale PCB manufacturing, PCB exposure technology can be divided into direct imaging technology and traditional film exposure technology according to the process whether to use a negative film or not. According to PCB manufacturing steps, exposure equipment can be divided into line layer exposure equipment and solder mask layer exposure equipment. As downstream electronic products develop in the direction of portability, thinness, and high performance, the product structure of the PCB industry is constantly being upgraded. Traditional exposure technology cannot meet large-scale industrial manufacturing requirements such as accuracy, production capacity, and yield of high-end PCB products. Direct writing lithography technology can meet the technical requirements of high-end PCB products, and has gradually become the mainstream technical solution for exposure processes in PCB manufacturing. Depending on the light emitting element used, direct imaging can be further divided into direct imaging with laser for the circuit layer and direct imaging with ultraviolet light for the solder mask layer.

According to QY Research data, it is estimated that by 2023, the production of direct imaging equipment in the global PCB market will reach 1,588 units, and sales will reach about US$916 million; the production of direct imaging equipment in the Chinese PCB market will reach 981 units, with sales reaching about 494 million US dollars, accounting for 54% of the world. As PCB products continue to be upgraded to a higher end, the exposure accuracy requirements for the solder mask layer have also increased. According to data from Forward-looking Industry Research Institute, China's PCB solder mask direct imaging exposure equipment market is also showing a steady expansion trend, reaching 1.3 billion yuan in 2022. As the performance of domestic PCB direct imaging equipment continues to improve, production costs continue to decline, equipment cost performance and local service advantages become prominent, and the process of replacing direct imaging equipment with traditional exposure equipment and domestic direct imaging equipment with imported equipment is also accelerating.

Technology penetration in various fields of pan-semiconductors and continuous deepening of industrial application expansion:

Currently, in the field of pan-semiconductors, depending on whether a mask version is used, lithography technology is mainly divided into direct writing lithography and mask lithography. Among them, mask lithography can be further divided into approach/contact lithography and projection lithography. Currently, projection lithography can meet the needs of large-scale manufacturing of pan-semiconductor products with various processes in terms of core indicators such as minimum line width, alignment accuracy, and production capacity, and has become the mainstream lithography technology in pan-semiconductor fields such as IC front-end manufacturing, IC back-end packaging, and FPD manufacturing. Direct writing lithography can be divided into two main types according to different radiation sources: one is optical direct writing lithography, such as laser direct writing lithography; the other is charged particle direct writing, such as electron beam direct writing, ion beam direct writing, etc. Direct writing lithography technology with electronic particles is mainly used in IC mask plate making, which requires extremely high lithography accuracy of about 10 nm. Laser direct writing lithography accuracy is relatively low and production capacity efficiency is high. It can already meet the lithography accuracy and production capacity requirements of high-end PCB manufacturing, wafer-level packaging, FPD mask plate making, low end IC mask plate making, low end IC front-end manufacturing, photovoltaic copper plating, etc., and has a wider range of downstream applications.

In the field of advanced packaging, direct writing lithography can be used in RDL, Bumping, and TSV, etc., and has obvious advantages in rewiring, interconnection, intelligent correction, and large area chip exposure. The representative domestic company Chipset currently cooperates with customers such as Huatian Technology and Shenghe Jingwei. The equipment is progressing smoothly on the client side, and has recently received continuous repeated orders from customers, and the stability and functionality of the product have been verified. Carrier boards are a key substrate in the field of advanced packaging. As IC carrier boards move towards ultra-high precision routes, and the requirements for imaging accuracy, alignment accuracy, and yield of increasingly narrow line width/line spacing continue to improve, direct writing lithography technology has gradually become the mainstream exposure technology for IC carrier boards. In the field of IC and FPD mask plate making, direct writing lithography technology is already the mainstream technology for mask plate making. In the field of new displays, direct writing lithography can be used in the exposure process in the early array process of OLED display panel manufacturing. It also has good advantages in solving mini/micro-LED chip and substrate manufacturing and using RDL rewiring technology to solve massive transfer problems.

Risk warning: 1) The investment of domestic PCB manufacturers falls short of expectations; 2) the risk of pan-semiconductor direct writing lithography equipment market expansion and technology development; 3) the risk of increased competition in the industry.

Disclaimer: This content is for informational and educational purposes only and does not constitute a recommendation or endorsement of any specific investment or investment strategy. Read more
    Write a comment