share_log

Cadence and TSMC Collaborate on Wide-Ranging Innovations to Transform System and Semiconductor Design

Cadence and TSMC Collaborate on Wide-Ranging Innovations to Transform System and Semiconductor Design

Cadence 和台积电合作进行广泛的创新,以改变系统和半导体设计
铿腾电子 ·  04/24 00:00

Highlights:

亮点:

  • Cadence's best-in-class Integrity 3D-IC platform supercharged with new features
  • Revolutionary AI-driven digital and custom/analog full flows and optimized for TSMC 2nm process technologies
  • Comprehensive IP portfolio for TSMC's advanced nodes, new solver certifications and key advancements in photonics enable next-generation semiconductor design innovations
  • Cadence 一流的 Integrity 3D-IC 平台增加了新功能
  • 革命性的人工智能驱动的数字和定制/模拟全流程,并针对台积电 2nm 工艺技术进行了优化
  • 台积电先进节点的全面 IP 组合、新的求解器认证和光子学的关键进步推动了下一代半导体设计创新

SAN JOSE, Calif.— Cadence Design Systems, Inc. (Nasdaq: CDNS) and TSMC have extended their longstanding collaboration by announcing a broad range of innovative technology advancements to accelerate design, including developments ranging from 3D-IC and advanced process nodes to design IP and photonics. This collaboration significantly advances system and semiconductor design for AI, automotive, aerospace, hyperscale and mobile applications and has resulted in the following recent technology achievements:

加利福尼亚州圣何塞——Cadence Design Systems, Inc.(纳斯达克股票代码:CDNS)和台积电宣布了广泛的创新技术进步以加速设计,包括从3D-IC和高级工艺节点到设计知识产权和光子学的各种开发,从而扩大了长期的合作关系。此次合作极大地推动了人工智能、汽车、航空航天、超大规模和移动应用的系统和半导体设计,并取得了以下最新技术成就:

  • Cadence collaborates with TSMC to infuse the Integrity 3D-IC platform with new features and functionality: The Cadence Integrity 3D-IC platform, the industry's comprehensive solution certified for all the latest TSMC 3DFabricofferings, now supports a hierarchical 3Dblox specification developed to integrate multiple chiplets into hierarchies for reuse and modular design. It also includes new features developed to ease chiplet assembly and design, and an automated alignment markers insertion flow to accelerate the design and assembly of stacked chiplets on different interposers and packages.
  • Cadence's digital solutions are certified for TSMC N2 design flow, including Innovus Implementation System, Quantus Extraction Solution, Quantus Field Solver, Tempus Timing Signoff and ECO Solution, Pegasus Verification System, Liberate characterization, and the Voltus IC Power Integrity Solution. The Genus Synthesis Solution is also enabled for N2 technology. Cadence and TSMC are collaborating on AI-driven Cadence solutions to enable an AI-assisted design flow for productivity and optimization of PPA results.
  • The Cadence Custom/Analog Design Flow is fully certified for TSMC's latest N2 Process Design Kit (PDK): Cadence custom tools optimized for TSMC N2 PDKs include Virtuoso Schematic Editor for design capturing and the Virtuoso ADE Suite for analysis, which are both part of Virtuoso Studio, and the integrated Spectre Simulator. All have been enhanced for managing corner simulations, statistical analyses, design centering, and circuit optimization, which are now common with advanced nodes. Virtuoso Studio has also been augmented to support front-to-back process migration from schematic mapping to optimized design specifications to full-layout place-and-route automation. The Virtuoso Studio and Spectre Simulation platforms, including Spectre X, Spectre XPS and the Spectre RF Option, have achieved the latest TSMC N2 certifications.
  • Cadence and TSMC have worked closely together to release a Virtuoso Studio N16 to N6 RF migration reference flow to substantially reduce turnaround time: Purposed-based instance mapping rapidly retargets schematics, while EMX Planar 3D Solver provides inductor synthesis and EM extraction for nets and components during the design phase. The Virtuoso ADE Suite provides design optimization using Spectre Simulation's RF analysis capabilities, and Virtuoso Studio Layout tools accelerate the reuse and reimplementation of RF layouts while preserving design intent.
  • Cadence announces the availability of a comprehensive portfolio of industry-leading IP cores for TSMC's N3 process, including:
    • Cadence's IP for UCIe on TSMC N3 is available in both advanced and standard package options. Cadence also offers IP for UCIe on multiple processes and configurations to enable a comprehensive solution for die-to-die (D2D) interconnect for its customers.
    • The Cadence memory interface IP portfolio (DDR5, LPDDR5 and GDDR6) is silicon-proven with best-in-class system margins and a PPA-optimized architecture that is ready to enable next-generation enterprise, high-performance computing and AI applications.
    • Cadence's IP for PCIe 5.0/CXL2.0 and PCIe 6.0/CXL3.0 on TSMC N3 are designed to provide the highest link throughput and utilization while operating with low latency, providing customers with SoC design excellence.
  • The Cadence EMX 3D Planar Solver has received certification for TSMC's N5 process technology: This certification enables joint customers to seamlessly integrate the EMX Solver into their advanced-node IC design flow, allowing for highly accurate EM analysis that can overcome the challenges of EM crosstalk and parasitics. Additionally, certification for N2 and N3 process technology is well underway.
  • Cadence unveils a new silicon photonics flow to support TSMC's Compact Universal Photonic Engine (COUPE) technology: Cadence and TSMC collaborate to develop a design flow for the COUPE 3D photonics process that features the Cadence Integrity 3D-IC platform. The TSMC COUPE technology enables the heterogeneous integration of photonics ICs with electrical ICs while minimizing coupling losses. The developing design flow from Cadence will support TSMC's COUPE technology and includes the Cadence Spectre X Simulator, Virtuoso Studio, EMX 3D Planar Solver and Pegasus Verification System, enabling joint customers to meet the most demanding system requirements and pave the way for high-performance computing applications.
  • Cadence 与台积电合作注入诚信 具有新特性和功能的 3D-IC 平台: Cadence Integrity 3D-IC 平台,业界综合解决方案,已通过所有最新台积电 3DFabric 的认证产品现在支持分层的 3Dblox 规范,该规范旨在将多个小芯片集成到层次结构中,以便重复使用和模块化设计。它还包括为简化芯片组装和设计而开发的新功能,以及自动校准标记插入流程,以加快在不同中介层和封装上堆叠小芯片的设计和组装。
  • Cadence 的数字解决方案已通过台积电 N2 设计流程认证,包括 Innovus 实施系统,Quantus 提取解决方案、Quantus 场求解器、Tempus 定时签核和 ECO 解决方案,Pegasus 验证系统,Liberate 表征,以及 Voltus IC 电源完整性解决方案。该属 合成解决方案还支持氮气技术。Cadence和台积电正在合作开发人工智能驱动的Cadence解决方案,以实现人工智能辅助设计流程,从而提高生产力和优化PPA结果。
  • Cadence 定制/模拟设计流程已通过台积电最新的 N2 工艺设计套件 (PDK) 的全面认证):针对台积电 N2 PDK 进行了优化的 Cadence 自定义工具包括 Virtuoso 用于设计采集的原理图编辑器和用于分析的Virtuoso ADE套件(均为Virtuoso Studio和集成Spectre的一部分) 模拟器。在管理角落模拟、统计分析、设计中心和电路优化方面,所有这些都得到了增强,这些现在在高级节点中很常见。Virtuoso Studio还进行了增强,以支持从原理图映射到优化的设计规范,再到全面布局布局和布线自动化的从前到后的流程迁移。包括Spectre X、Spectre XPS和Spectre RF Option在内的Virtuoso Studio和Spectre Simulation平台已获得最新的台积电N2认证。
  • Cadence 和台积电密切合作,发布了 Virtuoso Studio N16 到 N6 射频迁移参考流程,以大幅缩短周转时间: 基于目的的实例映射可快速重定向原理图,而 EMX Planar 3D Solver 在设计阶段为网络和组件提供电感合成和电磁提取。Virtuoso ADE套件使用Spectre Simulation的射频分析功能提供设计优化,而Virtuoso Studio布局工具可在保留设计意图的同时,加速射频布局的重用和重新实现。
  • Cadence 宣布为台积电 N3 工艺提供业界领先的全面的 IP 内核产品组合,包括:
    • Cadence 的 UCie IP 在台积电上,N3 提供高级和标准封装选项。Cadence 还为多种工艺和配置的 UCie 提供 IP,为其客户提供全面的芯片到晶片 (D2D) 互连解决方案。
    • Cadence 存储器接口 IP 产品组合(DDR5、LPDDR5 和 GDDR6)经过硅验证,具有一流的系统利润率和 PPA 优化的架构,已准备好支持下一代企业、高性能计算和人工智能应用。
    • Cadence 的 PCIe IP 台积电N3上的5.0/CXL2.0和PCIe 6.0/CXL3.0旨在提供最高的链路吞吐量和利用率,同时以低延迟运行,为客户提供卓越的SoC设计。
  • Cadence EMX 3D 平面解算器已获得台积电 N5 工艺技术的认证: 该认证使共同客户能够将 EMX Solver 无缝集成到他们的高级节点 IC 设计流程中,从而实现高度精确的 EM 分析,从而克服电磁串扰和寄生效应的挑战。此外,N2 和 N3 工艺技术的认证正在顺利进行中。
  • Cadence推出了一种新的硅光子学流程,以支持台积电的紧凑型通用光子引擎(COUPE)技术: Cadence 和台积电合作开发了 COUPE 3D 光子学工艺的设计流程,该流程采用 Cadence Integrity 三维集成电路平台。台积电 COUPE 技术支持光子学 IC 与电气 IC 的异构集成,同时最大限度地减少耦合损耗。Cadence的开发设计流程将支持台积电的COUPE技术,包括Cadence Spectre X模拟器、Virtuoso Studio、EMX 3D平面解算器和飞马验证系统,使共同客户能够满足最苛刻的系统要求,为高性能计算应用铺平道路。

"We have a distinguished track record collaborating with TSMC to deliver a broad set of innovations across EDA, packaging and IP to accelerate system and semiconductor design and enable customers to achieve aggressive time-to-market goals," said Chin-Chi Teng, SVP and GM, R&D, Cadence. "These new certified design flows and standardized solutions allow customers to confidently design for TSMC advanced nodes and usher in improved design efficiency and technological advancements."

Cadence高级副总裁兼研发总经理Chin-Chi Teng表示:“我们与台积电合作在EDA、封装和知识产权领域提供广泛的创新,以加速系统和半导体设计,使客户能够实现积极的上市时间目标。”“这些新的认证设计流程和标准化解决方案使客户能够自信地为台积电高级节点进行设计,并带来更高的设计效率和技术进步。”

"TSMC works closely with Cadence to accelerate customer innovation by providing high-quality design tools certified for use with our most advanced processes," said Dan Kochpatcharin, Head of the Design Infrastructure Management Division at TSMC. "Through our longstanding collaboration, we're able to deliver greater value for the most advanced SoC designs, benefiting from the significant power and performance boost afforded by our latest technology innovations."

台积电设计基础设施管理部负责人丹·科赫帕查林表示:“台积电与Cadence密切合作,通过提供经认证可用于我们最先进的流程的高质量设计工具,加速客户创新。”“通过我们的长期合作,我们能够为最先进的SoC设计提供更大的价值,受益于我们最新技术创新带来的显著功率和性能提升。”

About Cadence

关于 Cadence

Cadence is a pivotal leader in electronic design, building upon more than 30 years of computational software expertise. The company applies its underlying Intelligent System Design strategy to deliver software, hardware and IP that turn design concepts into reality. Cadence customers are the world's most innovative companies, delivering extraordinary electronic products from chips to boards to systems for the most dynamic market applications, including consumer, hyperscale computing, 5G communications, automotive, mobile, aerospace, industrial and healthcare. For 10 years in a row, Fortune magazine has named Cadence one of the 100 Best Companies to Work For. Learn more at cadence.com.

Cadence 是电子设计领域的关键领导者,建立在 30 多年的计算软件专业知识基础上。该公司运用其基本的智能系统设计策略来提供将设计概念变为现实的软件、硬件和IP。Cadence 的客户是世界上最具创新性的公司,他们为消费品、超大规模计算、5G 通信、汽车、移动、航空航天、工业和医疗保健等最具活力的市场应用提供从芯片到电路板再到系统的卓越电子产品。《财富》杂志连续十年将Cadence评为100家最佳工作公司之一。要了解更多,请访问 cadence.com

2024 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo and the other Cadence marks found at www.cadence.com/go/trademarks are trademarks or registered trademarks of Cadence Design Systems, Inc. Universal Chiplet Interconnect Express and UCIe are trademarks of the UCIe Consortium. PCI Express and PCIe are registered trademarks of PCI-SIG. All other trademarks are the property of their respective owners.

2024 Cadence Design Systems, Inc. 全球版权所有。Cadence、Cadence 徽标和其他 Cadence 商标可在以下网址找到 www.cadence.com/go/m 是 Cadence Design Systems, Inc. 的商标或注册商标。 Universal Chiplet Interconnect Express 和 UCie 是 UCie 联盟的商标。PCI Express 和 PCIe 是 PCI-SIG 的注册商标。所有其他商标均为其各自所有者的财产。

Category: Featured

类别:精选

Cadence Newsroom
408-944-7039
newsroom@cadence.com

Cadence 新闻编辑室
408-944-7039
newsroom@cadence.com

Source: Cadence Design Systems, Inc.

来源:Cadence 设计系统公司

声明:本内容仅用作提供资讯及教育之目的,不构成对任何特定投资或投资策略的推荐或认可。 更多信息
    抢沙发