share_log

国投证券:高端半导体光刻胶国产化需求迫切 建议关注相关标的

SDIC Securities: The demand for localization of high-end semiconductor photoresists is urgently recommended to focus on relevant targets

Zhitong Finance ·  Jan 10 01:50

Currently, domestic manufacturers are actively deploying photoresists and their upstream material supply chains, and high-end “stuck neck” products are expected to break through at an accelerated pace.

The Zhitong Finance App learned that SDIC Securities released a research report saying that the global semiconductor photoresist market is mainly monopolized by Japanese, US, and South Korean manufacturers, with CR5 accounting for nearly 80% in 2021. China's semiconductor photoresist self-sufficiency rate is low. KrF is less than 5%, ArF is less than 1%. There is an urgent need to localize high-end semiconductor photoresists, and the “stuck neck” needs to be broken through urgently. Currently, domestic manufacturers are actively deploying photoresists and their upstream material supply chains, and high-end “stuck neck” products are expected to break through at an accelerated pace. It is recommended to focus on domestic photoresist related targets.

SDIC Securities views are as follows:

Photoresist is a key material in the lithography process:

Photoresists can be divided into PCBs, LCD/OLED panels, and semiconductor photoresists according to downstream application fields. Together with photoresist supporting reagents, they are used as consumables in the lithography process. Among them, semiconductor photoresist has the highest barrier, and the market growth rate is higher than the overall photoresist market growth rate. According to SEMI data, the global semiconductor photoresist market in 2022 was US$2.64 billion, up 6.82% year on year; the mainland semiconductor photoresist market was US$593 million, up 20.47% year on year, and the growth rate is much higher than the global semiconductor photoresist market.

The barriers in the photoresist industry chain are high, and breakthroughs are needed in many links:

The bank discussed the core barriers of semiconductor photoresists from the perspective of the middle and downstream of the industrial chain: ① Supply: High barriers to raw materials such as resins, monomers, photoinitiators, etc., reliance on imports are low, and imports are difficult. High-end photoresists require high resin performance and require one-to-one response; monomer synthesis technology is difficult, requires high stability and purity, and is expensive; photosensitizers affect photoresist performance, and high-end products are expensive. ② Manufacturing: Photoresist has complex formulation technology, large R&D investment, and high requirements for product stability and cleanliness; photoresist manufacturers invest high in R&D, expensive lithography equipment, and high import restrictions. ③ Requirements: There are many types of photoresists, and the client import and verification cycle is long.

Fab production expansion+process node upgrade to drive domestic market expansion:

① Fab production expansion and operating rate increase, and the boom cycle has led to an increase in the use of photoresist consumables. ② Process upgrades and the increase in the proportion of advanced manufacturing processes have led to an increase in the amount of photoresist used per unit and the value per unit area. Based on the future production expansion plans of the fab factory, the bank made sensitive estimates on the size of the domestic semiconductor photoresist market from the demand side: under a neutral assumption, the domestic semiconductor photoresist market is expected to be US$884 million in 2025, and the CAGR is 14.23% for 2025.

Overseas manufacturers monopolize the market, and there is an urgent need for localization:

The global semiconductor photoresist market is mainly monopolized by Japanese, American and South Korean manufacturers, with CR5 accounting for nearly 80% in 2021. China's semiconductor photoresist self-sufficiency rate is low. KrF is less than 5%, ArF is less than 1%. There is an urgent need to localize high-end semiconductor photoresists, and the “stuck neck” needs to be broken through urgently. Domestic manufacturers are actively deploying. According to the announcement, at present, Tongcheng Xincai ArF adhesives already have mass production capacity. Jingrui Electric Materials, Shanghai Xinyang, Dinglong Co., and Nanda Optoelectronics all have ArF adhesive products; Tongcheng Xincai, Jingrui Electric Materials, and Shanghai Xinyang have already formed and sold KrF adhesives; Chinachem Technology has invested in Xuzhou Bokang and has the capacity of the entire photoresist industry chain. The bank believes that with the rapid progress of research and development of high-end products and the introduction of new products, a breakthrough in domestic replacement of semiconductor photoresists is imminent.

Investment advice: Semiconductor photoresists have high barriers and low localization rates. As a key semiconductor material, there is an urgent need for autonomy and control. Domestic manufacturers are actively deploying photoresists and their upstream material supply chains, and high-end “stuck neck” products are expected to break through at an accelerated pace. It is recommended to focus on domestic photoresist related targets: Tongcheng New Materials (603650.SH), Dinglong Co., Ltd. (300054.SZ), Jingrui Electric Materials (300655.SH), etc.; photoresist supporting reagents and upstream materials related targets: Strong New Materials (300429.SZ), Jiuri New Materials (688199.SH), Shengquan Group (), etc. 605589.SH

Risk warning: Risk of downstream customer verification falling short of expectations, risk of policy changes, risk of fab expansion progress and operating rate falling short of expectations, risk of increased industry competition.

Disclaimer: This content is for informational and educational purposes only and does not constitute a recommendation or endorsement of any specific investment or investment strategy. Read more
    Write a comment